Cmmi verilog vhdl jobs

Filter

My recent searches
Filter by:
Budget
to
to
to
Type
Skills
Languages
    Job State
    2,000 cmmi verilog vhdl jobs found, pricing in USD

    The goal of this project is using Vivado tools to enable a hardware implementation on an FPGA board. The key requirement from the FPGA board is high computational speed. Therefore, proficiency in Verilog language is preferred as I intend to implement the NTT algorithm. I am looking for a developer who is experienced with FPGA boards and Vivado tools. The chosen freelancer should also have the ability to maximize computing capabilities of the board for the said implementation.

    $317 (Avg Bid)
    $317 Avg Bid
    2 bids

    I need verilog code,testbench and simulation for this duty : Design a vector processing system that performs dot product of two vectors kept in the memory. The length of the vector is given as an input and at each clock cycle one element from each vector is multiplied and added. At the end of the processing a valid signal will be raised along with the result. Elements of the vectors are 8-bit unsigned vectors.

    $128 (Avg Bid)
    $128 Avg Bid
    13 bids

    I'm seeking a VHDL expert for a college project revolving around basic logic gates. The project involves primarily circuit design, with an emphasis on the following: - The project is centred around basic logic gates (AND, OR, NOT) - so the complexity level is relatively beginner-friendly - A key part of this task is the delivery of comprehensive project documentation along with the circuit design. This will help me understand the design process and the logic behind it. If you have experience in VHDL and can deliver both the circuit design and documentation, I'd love to hear from you. Please include details of similar projects you've worked on, as well as your experience level with VHDL.

    $19 / hr (Avg Bid)
    $19 / hr Avg Bid
    14 bids

    I need a talented RTL designer, proficient in Verilog, to carry out an NTT Implementation project focused on dataflow modeling. Key Requirements: - Expertise in Verilog, with a deep understanding and application of dataflow modeling - Prior experience in RTL design and synthesis - The main goal for this task is to achieve optimization of the design using your Verilog expertise - Attention to detail, punctuality, and efficient communication skills are a must This project offers an opportunity to work with an interesting model and explore optimized NTT implementation. Your contribution to this project will be influential in achieving an optimized design.

    $210 (Avg Bid)
    $210 Avg Bid
    2 bids

    Im working on a c++ image processing project , and i need to convert my C++ code to Verilog using HLS vitis , then implement it to run on Ultra96v2 Xilinx FPGA board .

    $502 (Avg Bid)
    $502 Avg Bid
    18 bids

    I'm in need of skilled programmers to develop interfaces for my Place and Route EDA flows. The ideal candidate will have experience in the following: - Proficiency in Python and/or C++ - Familiarity with VHDL, Verilog, and SystemVerilog - Experience in file input generation - Strong file parsing capabilities - Ability to manage EDA flows using TCL The interfaces need to be able to handle the entire EDA flow, from file input generation to error reporting. Experience in developing similar interfaces will be a big advantage. Please include relevant work samples in your bid.

    $26 / hr (Avg Bid)
    $26 / hr Avg Bid
    30 bids

    I'm looking for an expert in VHDL to develop a Field Programmable Gate Array (FPGA) project focused on pitch detection, specifically for speech recognition purposes. The system needs to be able to process live audio input. Required Skills: • Expertise in VHDL • Experience with FPGA development • Knowledge of audio processing, specifically pitch detection for speech recognition purposes If you have the above skills and experience, please make an informed bid.

    $478 (Avg Bid)
    $478 Avg Bid
    6 bids

    I'm in urgent need of skilled VHDL/Quartus professionals from Pakistan for a project. I will clarify the specifics once a mutual understanding and agreement is reached. Ideal skills for the job include: - Proficiency in VHDL/Quartus - Ability to design, troubleshoot and optimize digital circuits - Ability to work independently or with minimal supervision - Excellent communication skills to effectively explain intricate concepts or problems Experience level can range from beginner to expert. The expectation, however, is the ability to deliver quality work within the stipulated time-frame.

    $218 (Avg Bid)
    $218 Avg Bid
    5 bids

    I am currently working on a traffic light project and I need the expertise of a VHDL programming guru. Someone who has had previous experience programming the FPGA DEO Nano development board would be a perfect fit, as that's what I am specifically working with. I am using VHDL to code for the EP4CE22F17C6N board. The base of my project, using a state machine, has already been created. As far as the hardware end of things, I've already prepared the circuit diagram and have started with LED lights and toggle switches. But I do need to make some changes in it as the requirement in order to make it more complex for that I need someone who can do the following additions or changes in the project that I have attached in my zip folder to work exactly as described in the ...

    $143 (Avg Bid)
    $143 Avg Bid
    7 bids
    10000 2 days left

    Stepper motor controller in FPGA which generates pulses according to command. verilog code

    $119 (Avg Bid)
    $119 Avg Bid
    1 bids

    hello, I have a project and I'm stuck at some point, please see the specifications in the zip it's for tomorrow morning budget:20$ language:french,arabic actually no need for much because I have already done rendering 1 and rendering...for tomorrow morning budget:20$ language:french,arabic actually no need for much because I have already done rendering 1 and rendering 2 of the project, now for rendering 3, I just need to modify the block diagrams, the truth table, the state graph and the memory map (which are all done during rendering 1) according to the modifications requested by the workbook. load... We don't need coding in vhdl, just make the modifications on rendering 1 according to the instructions for rendering 3 if ever we can do a 10 minute meeting to e...

    $23 (Avg Bid)
    $23 Avg Bid
    3 bids

    I'm seeking an experienced trainer for Spyglass tool, with a concentration on Lint and CDC (Clock Domain Crossing). As beginners in Spyglass and proficient in Verilog, we primarily aim to identify and fix coding errors through this training. Ideal Skills and Experience: - Strong knowledge of Lint and CDC in Spyglass tool - Demonstrated experience in coding and debugging in Verilog - Excellent training skills - Ability to create and simplify complex concepts for beginners.

    $11 / hr (Avg Bid)
    $11 / hr Avg Bid
    5 bids

    ...programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and demonstrate a clear understanding of Verilog and V...

    $180 (Avg Bid)
    $180 Avg Bid
    12 bids

    ...programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and demonstrate a clear understanding of Verilog and V...

    $97 (Avg Bid)
    $97 Avg Bid
    4 bids

    I am in need of a seasoned FPGA programmer, proficient in Verilog and Vivado, who can build and run a program for me on a ZYNQ 7000 FPGA board. Our primary goal is: - To work on a program that performs Homomorphic Encryption Algorithm, by analysing its architecture - You'll need to identify the blocks responsible for addition and multiplication operations, as well as enumerate all IO used for these operations. Ideal candidate should have: - Extensive experience in conveying complex FPGA architectures in an understandable form - Proficiency in using Vivado for hardware simulation

    $17 / hr (Avg Bid)
    $17 / hr Avg Bid
    11 bids

    I'm seeking a skilled FPGA developer to construct an intermediate-level chessAI project. The AI is expected to run real-time on a Spartan-7 FPGA board, using Vivado and Vitis. Key Project Details: - **Real-time Performance:** The AI should be optimised for real-time operation on the FPGA board. - **Intermediate Complexity:** The chessAI should be capable of intermediate-level game play, providing engaging and challenging performance. - **FPGA Model:** The project is designed for a Spartan-7 FPGA board, hence prior experience with this model is preferable. Key Skill Requirements: - Proficiency in FPGA development, particularly with Vivado and Vitis. - Prior experience in designing chessAI or comparable AI projects. - Expertise in optimising AI models for real-time FPGA implementation...

    $166 (Avg Bid)
    $166 Avg Bid
    7 bids

    DEADLINE 21st I need an Object Detection(displays text on screen of object name) & Live Streaming system(records video when switch or button pressed), all to be implemented on a Zybo Z7 board with a pcam 5c camera module. Here are the details: - **Programming Language**: The system needs to be developed using verilog and xlinx tools. - **Standalone or Integrated**: I'm looking for the Object Detection & Live Streaming system to be integrated with zyboz7 and pcam5c. - **Functionality**: The system should perform real-time object detection and identification, as well as record and store live streams for later analysis. Finally report that includes tests/testbenches should be included based on requirements in

    $217 (Avg Bid)
    $217 Avg Bid
    10 bids

    I'm looking for a developer to create a system for my Zybo Z7 board that can detect people in real-time through a connected pcam5c camera and display the detection text on the video feed...Video Streaming: The video feed should be streamed in real-time. - Text Overlay: The detection results should be displayed as a text overlay on the video. Skills/Experience Required: - Proficient in Xilinx SDK and Xilinx Vivado. - Strong background in object detection, particularly with people. - Previous experience with video processing and streaming. - Knowledge of FPGA programming and VHDL/Verilog is a plus. Please note that my budget for this project is $60. I'm open to hearing from freelancers who can deliver within this budget. I have worked on single pixel (multipixel z...

    $60 (Avg Bid)
    $60 Avg Bid
    2 bids

    Hi ExpertSoul, I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    $250 (Avg Bid)
    $250 Avg Bid
    1 bids

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) for both sensor...

    $157 (Avg Bid)
    $157 Avg Bid
    13 bids

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) for both sensor...

    $88 (Avg Bid)
    Urgent
    $88 Avg Bid
    8 bids

    I am looking for a freelancer to help me with a project that involves evaluating image quality with implementing machine learning algorithms on an FPGA. VIVADO would be preferred to work on. I am seeking a detailed project proposal from freelancers. with Verilog coding Ideal skills/experience: VERILOG VIVADO

    $44 (Avg Bid)
    $44 Avg Bid
    5 bids

    My project requires the efficient application of Gaussian filtering in Verilog specifically for enhancing image details. The image type for this task is RGB, and the intended result should lead to clear, detailed images showcasing the potential of Gaussian filters. Key requirements include: - Applying Gaussian filtering to provide image enhancement - Working specifically with RGB images - Delivery of processed images in JPEG format Given the technical nature of this project, proficiency in Verilog and image processing is crucial. A deep understanding of Gaussian filtering algorithms is also necessary. Experience with image manipulation software would be a bonus. This project is ideal for freelancers who are detail-oriented and are adept at transforming complex requirements...

    $86 (Avg Bid)
    $86 Avg Bid
    4 bids

    I'm seeking a proficient VHDL engineer to assist in the development of digital signal processing on a Basys Board 3, requiring knowledge in digital and analog inputs and outputs. The specifics of the digital signal processing algorithm are unclear at this stage due to omitted information. Key skills and experience needed: - Proficiency with VHDL and Basys Board 3 - Sound understanding of both analog and digital inputs and outputs - Aptitude for problem-solving and working with incomplete details - Prior experience in digital signal processing is advantageous.

    $557 (Avg Bid)
    $557 Avg Bid
    26 bids

    I'm seeking an experienced and detail-oriented developer to create a Custome PCILeech firmware for SCREAMER PCIE SQUIRREL direct access memory card utilizing the 7 Series FPGA 35t chip. Firmware must...Squirrel. Firmware must bypass and avoid anti-cheat detection on EAC/BE etc. Responsibilities: - Develop firmware for PCILeech FPGA - Debugging and problem-solving throughout firmware development Skills & Experience: - Strong experience in FPGA programming and firmware development - Excellent debugging and problem-solving skills - Experience with high-speed data transmission - Proficiency with VHDL/Verilog languages The timeline for project completion is flexible, indicating a strong emphasis on quality over speed. However, I am eager to commence with the right cand...

    $544 (Avg Bid)
    $544 Avg Bid
    29 bids

    For this project, I need a skilled Verilog programmer with FPGA implementation experience. The key task is to encode a 4x4 binary (black and white) image into an 8x8 image using least significant bit replacement. Key Responsibilities: - Implementing a least significant bit replacement algorithm. - Delivering clean and efficient Verilog code. - Ensuring compatibility with FPGA hardware. Required Skills and Experience: - Proficiency in Verilog code - Understanding of LSB replacement - Experience with FPGA implementation - Working knowledge of image processing, specifically with binary images.

    $14 (Avg Bid)
    $14 Avg Bid
    5 bids

    I am looking for a skilled Verilog coder with experience in advanced digital circuit design and implementation. Tasks will involve designing and implementing complex circuits, specifically those involving CPUs or intricate state machines. Key Responsibilities: - Design and implement advanced digital circuits - Test and debug created designs - Maintain documentation of design process and circuit function Skills & Experience: - Expertise in Verilog coding - Experience with complex digital circuit design and implementation - Familiarity with CPUs and complex state machines - Proficiency in using Xilinx Vivado for running Verilog simulations Please ensure you have this experience before placing a bid on this project.

    $131 (Avg Bid)
    $131 Avg Bid
    8 bids

    Completing an intermediate-level circuit simulation is on the top of my agenda, and time is of the essence. Key Requirements: - Generate a simulation circuit using either Verilog or VHDL. - The complexity level should be intermediate, meaning that it should include components such as adders, decoders, and multiplexers. Ideal Candidate: An experienced freelancer with a strong background in circuitry and simulation languages such as Verilog or VHDL. Quick response and comprehension of task requirements are paramount due to the urgency of the project. Remember, the successful completion of this project is deemed urgent. Therefore, a prompt response and start are appreciated.

    $92 (Avg Bid)
    $92 Avg Bid
    22 bids

    I'm in need of an individual skilled in Verilog who can help me achieve a specific task. - Task: Your main responsibility would be writing Verilog code for a simple module implementation. This does not involve complex system level code designs or CPU architecture. - Objective: The primary objective of the module is to model a specific digital logic circuit. The project does not require interaction with other modules or utilization of specific hardware components. The ideal candidate would possess: - Solid experience in Verilog coding, - Expertise in digital circuits, - Strong understanding of digital logic circuits, - A meticulous approach to ensure accuracy in modeling the required digital logic circuit. If you are passionate about Verilog and love c...

    $108 (Avg Bid)
    $108 Avg Bid
    13 bids

    ...achieving a specific task. I already have a code for core RISC-V in system Verilog and need help running it in my Linux based virtual machine. The final objective includes enabling a basic load counter and comprehending the code thoroughly. need to create a presentation for my Verilog project. For the first task, I need to count the number of instructions entering the pipeline after a mispredicted branch, which is important for security. I need to run a test code to measure performance and count the flushed instructions. Can you help with this in Verilog? We can work together on it and complete the presentation.? To achieve the listed tasks, the freelancer should possess: - Expert knowledge and experience in working with Verilog, - Proficiency in executi...

    $11 / hr (Avg Bid)
    $11 / hr Avg Bid
    6 bids

    I am seeking a proficient electronic engineer with an in-depth understanding of VHDL (high level logic design) it's related to xlinx and vivado

    $27 (Avg Bid)
    $27 Avg Bid
    7 bids

    In this project we will be implementing a control system using the Lattice iCE FPGA. The task at hand involves converting a schematic for a Synchronous Data Link Control (SDLC) data stream to an SPI Master data stream converter to Verilog or VHDL and then verifying the design through simulation. And finally creating the file that will be used to program the target part in production. The ideal freelancer for this job is proficient in working with FPGAs, preferably with a strong background in the Lattice iCE FPGA. I’m looking for someone adept in schematic to HDL conversion. Experience in working with SDLC data will serve as a plus. Please ensure that your experience and skills include: - FPGA development, specifically with the Lattice iCE. - Expertise in schematic ...

    $52 / hr (Avg Bid)
    $52 / hr Avg Bid
    7 bids

    We've written some VHDL code for FPGA we need someone to adapt it to our coding standard. we will share a report of all violations for a set of files and the VHDL code shall be modified as specified in the coding standard. To make an example all signals shall be names s_<signal_name>, signal test_sig : std_logic; -- violation! signal s_test_sig : std_logic; -- correct the code will be shared with a Gitlab repo, a dedicatd branch will be created to modify the original code. the code shall be compiled, to chekc no errors were introduced. as soon as the code is delivered we wil rerun the checker to chek for residual errors, and we would provide a feedback (unless the freelancer has the same checker tool)

    $483 (Avg Bid)
    $483 Avg Bid
    26 bids

    I'm currently in search of an expert in electronics, Specifically FPGA's and Verilog who can assist in creating a 32 channel logic analyzer. The analyzer's primary role will be to facilitate the debugging of digital circuits, analyzing serial communications, and examining micro-controller signals and digital protocols. Key Responsibilities: - Design and create an analyzer able to decipher multiple digital protocols including CAN, SPI, I2C, UART, RS422, RS485, i2C 1-Wire, and SIM devices. - Equip the analyzer with a unique functionality to understand and interpret data in custom HEX, Bianry and ASCII formats. Ideal Experience and Skills: - Proficient in digital protocols and encoding. - Extensive experience in electronics, especially in creating and debugging logic ...

    $583 (Avg Bid)
    $583 Avg Bid
    32 bids

    Its about vintage videogames I have a project that make emulation of cartridges. Each original Cartridge have circuits with ASIC customs called " mappers ". Theses mappers circuits are not available for sale, because was made in 90 years. Some hackers rebuild functionality of Asics throught verilog, and implemented in a single cartdridge with ALL mappers inside. In Github are The opensource project , and ALL mappers writed in verilog are available separated. My Idea is take one a one mapper and generate a output file and burn in individual hardware , like Xillinks XC9572 or XC95144 because The original project use hard weight hardware,like a

    $521 (Avg Bid)
    $521 Avg Bid
    12 bids

    Hi, I need someone really experienced in FPGA, DSP, and specifically IFF signlas. I need to create an algorithim to detecet different modes of IFF signals and classify them. then display the data of the signals. I will aslo need someone to finalize the verilog code, help me with testing, debugging of my project, it will be a long time agreement not just a task to be done. I am hoping to collaborate with a diligent engineer who accurately interprets given instructions and is capable of delivering quality work. I am looking forward to your proposals.

    $601 (Avg Bid)
    $601 Avg Bid
    14 bids

    I need someone proficient in FPGA programming and matrix manipulations to develop a solution that involves multiplying two-dimensional matrices on PYNQ Boards. The project's objectives include: • Computing proc...develop a solution that involves multiplying two-dimensional matrices on PYNQ Boards. The project's objectives include: • Computing processes for small-sized matrices • Ability to handle medium-sized matrices • Building the necessary verilog code and TB to facilitate and optimize the matrix multiplication on the PYNQ boards Here are the critical skills and experience needed for the job: • Familiarity with PYNQ FPGA programming • Experience working with PYNQ Boards • Deep understanding of matrix operations • Proficient...

    $39 (Avg Bid)
    $39 Avg Bid
    2 bids

    Bundle of 4 entry level Logic Design outputs --->Verilog , 4-bit wide 2:1 MUX ,Mealy machine , and a little more

    $131 (Avg Bid)
    $131 Avg Bid
    25 bids

    We have an existing VHDL project that implements various memory protocols that runs on a Lattice FPGA (MachXO2 LCMXO2-4000HC). The FPGA is used as a high-speed data bridge between an ARM based microcontroller and a connected memory device (using SMC). This project is to expand the existing project and add support for the eMMC protocol and eMMC Flash memory devices. For testing, we have acquired various 64GB devices that are available on Mouser and DigiKey. Basic functionality is required: reading/writing/erasing with legacy speeds and 1,4,8-bit data bus. Project can be extended to include additional modes / features. The project is in Lattice Diamond, and written in VHDL. All work must be done to the existing project. And tested using exported bitstream binaries. Note: if ...

    $8250 (Avg Bid)
    $8250 Avg Bid
    8 bids

    We have an existing VHDL project that implements various memory protocols that runs on a Lattice FPGA (MachXO2 LCMXO2-4000HC). The FPGA is used as a high-speed data bridge between an ARM based microcontroller and a connected memory device (using SMC). This project is to expand the existing project and add support for the eMMC protocol and eMMC Flash memory devices. For testing, we have acquired various 64GB devices that are available on Mouser and DigiKey. Basic functionality is required: reading/writing/erasing with legacy speeds and 1,4,8-bit data bus. Project can be extended to include additional modes / features. The project is in Lattice Diamond, and written in VHDL. All work must be done to the existing project. Note: if you want to make a bid, please respond with yo...

    $4125 (Avg Bid)
    NDA
    $4125 Avg Bid
    4 bids

    As an FPGA expert, my project demands crucial implementation and testing of FPGA-based systems, along with adept ...transferred and received properly coz its serial data. if the data received equal to certain vaule ( the value i serialized before sending ) then LED is on, or use a method to display the received data. I am also forwarding 2 clocks that i need to receive at the same frequency they were set in the input. Critical Skills - Proficiency in Verilog - Prevailing experience with Xilinx FPGAs Ideal freelancers will possess substantial experience using Verilog for FPGA programming and have a strong background in Xilinx products. Solid debugging skills and the ability to devise efficient tests for FPGA-based systems are a must. ALL CODES ARE DONE, I JUST NEED SOMEONE...

    $57 (Avg Bid)
    $57 Avg Bid
    7 bids

    ...the specifications and performance targets for the graphics card, considering factors such as core count, memory bandwidth, and power efficiency. Designing and modeling the GPU architecture using computer-aided design (CAD) software, incorporating advanced features for rendering, compute, and artificial intelligence. Implementing the design using hardware description languages (HDLs) such as Verilog or VHDL, and simulating the functionality using specialized tools. Conducting rigorous testing, validation, and optimization to ensure the graphics card meets performance, reliability, and compatibility standards. Iterating on the design based on feedback, performance analysis, and emerging technologies. **Power Efficiency:** - My priority is an energy-saving design. The chall...

    $56405 (Avg Bid)
    $56405 Avg Bid
    9 bids

    Seeking an experienced professional to create comprehensive CMMI (Capability Maturity Model Integration) process descriptions tailored for a Level 3 - Defined maturity. These documents are fundamental to structuring our organization's practices and ensuring they're standardized and well-documented, enabling us to meet specific project and organizational goals. **Requirements:** - Develop process descriptions that are comprehensive, incorporating examples and templates for ease of use and implementation. - Focus specifically on creating documents that adhere to the CMMI Level 3 - Defined maturity level, indicating our organization's processes are well characterized and understood. - The document suite should include, but not be limited to, areas of project man...

    $750 - $1500
    Sealed NDA
    $750 - $1500
    2 bids

    ...project. - Familiarity with high-speed interface protocols and their integration into FPGA designs. **Ideal Skills and Experience:** - Strong background in electrical engineering or computer science, with a focus on hardware design. - Prior projects or experience in FPGA-based design, especially those involving DSP or video processing. - Proficient in C/C++ for algorithm development and HDL (VHDL/Verilog) for hardware description. - Knowledge of optimization techniques for power efficiency and performance maximization in FPGA designs. - Ability to work with simulation tools and perform thorough validation and testing of the designed hardware. My project calls for an innovative and technically skilled freelancer who can navigate the complexities of advanced FPGA design. I...

    $15 / hr (Avg Bid)
    $15 / hr Avg Bid
    9 bids

    I am seeking a talented programmer to develop an encryption algorithm using Verilog language, which will be implemented using FPGA in Xilinx. Key Requirements: - Comprehensive understanding of Verilog programming and encryption algorithms - Extensive experience in FPGA implementation - Proficiency in Xilinx The ideal candidate should be capable of creating an efficient and secure encryption system from scratch. Your algorithm will be tested for security, efficiency, and performance during Evaluation. Please include examples of relevant previous work in your bid. Thank you.

    $123 (Avg Bid)
    $123 Avg Bid
    4 bids

    ...seeking a highly skilled freelancer with expertise in Verilog for an advanced system design and optimization project targeting FPGA (Field-Programmable Gate Array). This project demands someone who can navigate complex Verilog coding with ease and contribute to significant system improvements. **Core Requirements**: - Profound knowledge in Verilog coding, specifically for FPGA platforms. - Experience in advanced system design and optimization. - Capability to work through complex modules and processes to deliver optimized solutions. **Ideal Skills and Experience**: - Strong background in FPGA-based design and development. - Proficient in writing, analyzing, and optimizing Verilog code. - Familiarity with debugging and testing Verilog code in a real F...

    $86 (Avg Bid)
    $86 Avg Bid
    4 bids

    ...FPGA-based game similar to a classic crossing road game with a twist. Required Skills: - Proficient in VHDL programming - Experience with FPGA design and implementation - Familiar with character movement logic - Ability to implement a scoring system into FPGA projects Project Requirements: - Design VHDL code specifically for an FPGA target device - Develop code that allows character movement within the game - Create a scoring system to track and display the player's score -able to score to reach a target and gameover point -able to control the game using fpga or keyboard - able to connect via vga Ideal Candidate: - You should have a portfolio demonstrating previous work with FPGAs and VHDL. - Experience in game development or simulation is highly desirable...

    $146 (Avg Bid)
    $146 Avg Bid
    12 bids

    ...freelancer to implement an intermediate System on Chip (SoC) module using VHDL for an FPGA-based system. Key Responsibilities: - Develop and simulate VHDL code for an FPGA-based SoC module. - Ensure code meets functionality and performance requirements. - Provide documentation and support for testing and integration. Ideal Skills: - Proficient in VHDL programming. - Experience with FPGA design and implementation. - Familiar with SoC architecture and digital design principles. - Ability to write clean, optimized, and well-documented code. Qualifications: - Previous projects involving VHDL and FPGAs. - Understanding of intermediate SoC modules. - Good problem-solving and communication skills. Project Deliverables: - Working VHDL code as per specifica...

    $161 (Avg Bid)
    $161 Avg Bid
    7 bids

    I'm seeking a talented freelancer with expertise in FPGA development to assist in implementing high-level synthesis (HLS) algorithms on the Vitis platform. I have a solid background in HLS but need guidance with Vitis integration. Requirements: • Experience with Vitis platform and HLS technology • Proficiency in Verilog programming • Past work with image, data encryption, or signal processing algorithms. Ideal Skills: • FPGA design and development • HLS algorithm optimization for performance • Familiarity with Xilinx development tools • Ability to write clean, maintainable code Goals: • To effectively integrate HLS algorithms into a Vitis workflow • To optimize algorithm performance on an FPGA architecture I look forward to colla...

    $325 (Avg Bid)
    $325 Avg Bid
    3 bids

    I am looking for an expert in FPGA design who can help create a SmartFusion2 design with specific features. the designer shall be able to - update VHDL design to control other peripherals integrating in the current design - update the SW on the ARM Ideally, the testing function should use predefined test cases. The main focus of the testing system needs to be hardware testing. Therefore, essential skills and experience include knowledge of hardware testing techniques and familiarity with FPGA configuration, power management, and communication protocols.

    $1117 (Avg Bid)
    $1117 Avg Bid
    21 bids