Vhdl project vhdl project jobs

Filter

My recent searches
Filter by:
Budget
to
to
to
Type
Skills
Languages
    Job State
    2,000 vhdl project vhdl project jobs found, pricing in USD

    1.VHDL code for SPI master to send data to a GPU. project setup for the customer's terasic FPGA board. assignment. demonstration of contents via zoom meeting. I will try to complete the project before the specified end date.

    $200 (Avg Bid)
    $200 Avg Bid
    1 bids

    ...verification, preferably baseband/ controller side 2. Experience in Industry standard protocols ARM Interconnects(AHB, APB), SPI, UART, I2C, DMA, Serial Flash, Security and Encryption. 3. Full chip SoC (C and SV based), Subsystem and Block/IP level verification. Test Bench generation with ability to run embedded C programs. Must have experience of 2-3 SoC verification. 4. Experience in HDL(Verilog, VHDL) and HVL(System Verilog, Specman) based functional verification. Experience in code coverage. 5. Experience in Verification methodologies(UVM, OVM and eRM). language simulation (Verilog-AMS, SystemVerilog). 7. Experience in Mentor, Cadence and Synopsys simulators. 8. Build automated Test bench and regression environments from a scratch. Should be able to write a test plan and gen...

    $1533 (Avg Bid)
    $1533 Avg Bid
    3 bids

    We need a project done in Morse code encoder and decoder in VHDL. Our project contains 2 parts a transmitter and receiver. The transmitter part receives the text(ASCII) from the PC(user) via UART receiver and transmits the text to morse code encoder(converts text to morse code). The morse code pattern then is sent to an led. Dot(.) corresponds to LED on and dash(-) LED off. The receiver part has a photo diode which reads the blinking of the led(morse code) and data is transmits to Morse decoder where it is converted back to ASCII. The converted ASCII is then transmitted to end user PC for display. We have already designed the top level top level block diagram. we now need the source codes(entity and architecture) for the blocks and test benches for all blocks for simul...

    $27 (Avg Bid)
    $27 Avg Bid
    7 bids

    STM32 toolchain and also vhdl design with report describing the procedures

    $38 (Avg Bid)
    $38 Avg Bid
    4 bids

    i want some vhdl coding simulating with test bench on modulsim and a report

    $68 (Avg Bid)
    $68 Avg Bid
    5 bids

    This project requires basic knowledge of digital electronics and VHDL coding.

    $13 / hr (Avg Bid)
    $13 / hr Avg Bid
    12 bids

    Need someone expert in Digital Electronics and VHDL programming. More details will be shared in private chat.

    $20 - $67
    Sealed
    $20 - $67
    3 bids

    Need someone expert in Digital Electronics and VHDL programming. More details will be shared in private chat.

    $7 - $40
    Sealed
    $7 - $40
    4 bids

    Need someone expert in Digital Electronics and VHDL programming. More details will be shared in private chat.

    $7 - $40
    Sealed
    $7 - $40
    7 bids

    Need someone expert in Digital Electronics and VHDL programming. More details will be shared in private chat.

    $7 - $33
    Sealed
    $7 - $33
    4 bids

    Professional and proficient in the following areas Boolean Algebra and Logic Design  Number systems  Basic Theorems of Boolean Algebra  Canonical and Standard Forms  Logic Gate Implementations and Characteristics: ...Logic  Latches  Flip Flops  Finite-State Machine (FSM) Model  Synthesis and Analysis  Designing State Machines using State Diagrams  Designing State Machines using ASM (Algorithmic State Machine) Charts  State Minimisation, Optimisation and Timing. Hardware Description Languages (VHDL)  Combinatorial descriptions  Delta Delays  VHDL hierarchy (Entities, modules, instantiation)  Language constructs (conditional assignment, selected assignment)  Synchronous descriptions (processes, if, case)  VHDL test benches  Synthesis considerations

    $15 (Avg Bid)
    $15 Avg Bid
    10 bids

    using Artix 7 implement Master UFS protocol design for the UFS Host device, Feel free to contact who's have experince on Stroage's(emmc, ufs, nand e.t.c) Skills required : Verilog , VHDL , C , C++

    $17025 (Avg Bid)
    $17025 Avg Bid
    12 bids

    ...bypassing mechanisms. The project now has been implemented using a supermodular approach where I have tried to make the VHDL codes for the smallest units and then built them upwards in the schematic. I will need the schematic of the pipelined dlx too. here is the drive link with all the files for your reference I have a certain benchmark to run which i will share once i get to design it but the i will need the isim simulations of the entire processor as a proof that everything works. If there are any other further questions do not hesitate to contact me. If you need me to do some bits and pieces i could do that too. I want to be involved in this as I would like to complete the project that i started and some

    $140 (Avg Bid)
    $140 Avg Bid
    3 bids

    hi there i need an electrical or electronics engineer who is expert in VHDL AND RISC-V for simple task more details will be shared with the suitable candidates in the inbox regards

    $30 - $250
    Sealed
    $30 - $250
    7 bids

    hi there i need an electrical or electronics engineer who is expert in VHDL AND RISC-V for simple task more details will be shared with the suitable candidates in the inbox regards

    $30 - $250
    Sealed
    $30 - $250
    4 bids

    hello, please contact me if you are proficient in the fields above

    $200 (Avg Bid)
    $200 Avg Bid
    3 bids

    Assalam o alaikum !!! We are looking for electrical engineers to join our team and work on different projects related to following domains of electrical engineering: 1) Control System 2) Satellite communicati...related to following domains of electrical engineering: 1) Control System 2) Satellite communication 3) Radio frequency and microwave circuit design 4) VLSI techniques 5) Radar theory and satellite communication 6) Intelligent and adaptive systems 7) Digital design 8) Asic design Freelancers must be proficient in following: 1) Matlab / Simulink 2) Proteus 3) Multisim 4) pspice 5) LTspice 6) VHDL/Verilog coding What I am expecting: 1. Dedication to the work 2. On time delivery of work without any delay 3. Well arranged and properly formatted reports with plagiarism count...

    $154 (Avg Bid)
    $154 Avg Bid
    11 bids

    We are looking for electrical and electronics engineers with good experience in following areas: • Embedded C Programming. • VHDL/Verilog, LabVIEW/ Multisim/PSPICE • Network Simulator NS2/NS3 • Microcontroller like Arduino, Raspberry Pi, FPGA, AVR, PIC and STM32. • IDEs like Keil MDK V5, ATmel studio and MPLab XC8. • PLCs / SCADA • PCB Designing-Proteus, Eagle. • IOT Technologies like Ethernet, GSM GPRS. • HTTP Restful APIs connection for IOT Communications. Feel free to place your bid and mention your areas of expertise in your proposal. we highly encourage new freelancers to apply for this post.

    $166 (Avg Bid)
    $166 Avg Bid
    22 bids

    using Artix 7 implement Master UFS protocol design for the UFS Host device, Feel free to contact who's have experince on Stroage's(emmc, ufs, nand e.t.c) Skills required : Verilog , VHDL , C , C++

    $4634 (Avg Bid)
    $4634 Avg Bid
    7 bids

    I have a few labs im struggling with and they all follow one another. It requires VHDL, RARS and Ripes. Please contact me so I can show you the details and so we can get started on this. Thanks!

    $158 (Avg Bid)
    $158 Avg Bid
    7 bids

    Verilog/VhDL FPGA Asic Electronics Microcontroller

    $88 (Avg Bid)
    $88 Avg Bid
    15 bids

    Hey I need someone who knows how to deal with integrated circuit design and vhdl

    $18 / hr (Avg Bid)
    $18 / hr Avg Bid
    11 bids

    Hi, just to make sure. Do you have the Nexys 4 DDR board and vivado 2020.1 installed? Also, Do you have knowledge of multithreaded OS, in particular FreeRTOS? detail will be share in chat box

    $272 (Avg Bid)
    $272 Avg Bid
    4 bids

    Hi, just to make sure. Do you have the Nexys 4 DDR board and vivado 2020.1 installed? Also, Do you have knowledge of multithreaded OS, in particular FreeRTOS?

    $110 (Avg Bid)
    $110 Avg Bid
    3 bids

    design a single cycle mips proccessor computer Architecture vhdl

    $150 (Avg Bid)
    $150 Avg Bid
    10 bids

    Knowledge in integrated circuit design and vhdl

    $15 / hr (Avg Bid)
    $15 / hr Avg Bid
    8 bids

    Hi Muhammad Usman A., I noticed your profile and would like to offer you my vhdl vivado project. We can discuss any details over chat.

    $15 (Avg Bid)
    $15 Avg Bid
    1 bids

    Hi Haider A., I noticed your profile and would like to offer you my vivado vhdl project. We can discuss any details over chat.

    $15 (Avg Bid)
    $15 Avg Bid
    1 bids

    Hi Abubakar M., I noticed your profile and would like to offer you my vivado vhdl project. We can discuss any details over chat.

    $10 (Avg Bid)
    $10 Avg Bid
    1 bids

    Hi Sardar Hasnain A., I noticed your profile and would like to offer you vivado vhdl project. We can discuss any details over chat.

    $10 (Avg Bid)
    $10 Avg Bid
    1 bids

    i need ur help in designing an accelerometer sensor and show reading in my fpga kit in vhdl

    $140 (Avg Bid)
    $140 Avg Bid
    8 bids

    I want the project to be done on Xilinx using Verilog/VHDL where 64bit binary counter using prescaled block can be created.

    $110 (Avg Bid)
    $110 Avg Bid
    7 bids

    Read the pdf. the 7 segment LEDS can be used to show the frequency. The main job is to build a NCO that creates frequency between 10 hz to 10 Mhz and then read it on a frequency meter with 1 Mhz clock and display the value on 4 digit 7 segement LED.

    $478 (Avg Bid)
    $478 Avg Bid
    5 bids

    Need some body good in Verilog/ VHDL. Need 100% original

    $25 (Avg Bid)
    $25 Avg Bid
    1 bids

    I am trying to build a core (IP) that includes communication between SPI slave and wishbone master. I have written the state machine for both of them. also, I have the codes for both. The issue is that I don"t know how to make both of them communicate in a correct way. I have tried a lot but I was not able to do it. I wish that I can find someone that able to make it work so I can learn from it. I can help you with anything you need also I can provide the codes for you. Please, be aware that I am not willing to pay a lot for this so please make your price reasonable and cheap.

    $51 (Avg Bid)
    $51 Avg Bid
    1 bids

    using Artix 7 implement Master UFS protocol design for the UFS Host device, Feel free to contact who's have experince on Stroage's(emmc, ufs, nand e.t.c) Skills required : Verilog , VHDL , C , C++

    $9583 (Avg Bid)
    $9583 Avg Bid
    6 bids

    Hi Zyad R., I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    $25 (Avg Bid)
    $25 Avg Bid
    1 bids

    Write VHDL code and testbench for the given question and simulate them using Quartus and Modelsim Altera

    $19 (Avg Bid)
    $19 Avg Bid
    4 bids

    To design and implement a 16-bit RISCV Processor using VHDL (Very High-Speed Integrated Circuit Hardware Description Language).

    $107 (Avg Bid)
    $107 Avg Bid
    8 bids

    I wanted to design a snake game using zybo board Zybo-XCZ010-1CLG400C. The programming language should be VHDL and it has to interfaces on a monitor using vgi cable and the snake should be controlled using the buttons on the zybo board . The movements of the snake is UP , down , right and left . Also one of the button should be dedicated to pause and continue the game .

    $92 (Avg Bid)
    $92 Avg Bid
    2 bids

    working with a Arty 7Z-20: Zynq-7000. The intent of the project is to create a functional uart, that will modify the IO of a custom IP and have both the VHDL and the software modify shared memory (BRAM) so that information can be passed back and fourth. The data shared between them are a few integers. I've been able to create the project on the VHDL side with what I need. BRAM controller, BRAM, and a custom AXI4 peripheral with various IO for my specific project (General IO pins).

    $193 (Avg Bid)
    $193 Avg Bid
    10 bids

    I am looking for an VHDL coding expert having good background in Quartus II simulator

    $174 (Avg Bid)
    $174 Avg Bid
    6 bids

    Looking for an experienced and qualified Electronics Engineer with VHDL language expertise to help me in verilog description of a circuit that receives a 1-bit serial data and that detects a given binary sequence. The circuit will have a single data input that inputs a single bit every clock cycle. it has a single output that is 0 when no sequence is detected. Whenever a sequence (see table below) is detected this output goes to 1 for a single clock cycle

    $17 / hr (Avg Bid)
    $17 / hr Avg Bid
    9 bids

    I need this VHDL code written for a CLA adder circuit

    $30 (Avg Bid)
    $30 Avg Bid
    3 bids

    ...HLS. Compare the result with the most recent references.(Analysis) the cloud computing environment is as you want ( Amazon , cloud Hardware ( EC2 F1) ) . It should tset the code in C++ and VHDL then compare them with Accuracy and time Vivado is belong to Xilinx and I do not need any implemantation so you can choos any chip or just do the test case the focus on simulation. The Xilinx Vivado HLS tool is one of the available high-level synthesis tools in the market. The main idea behind Vivado HLS is transforming a language specification design,into an RTL designed by converting it into Verilog or VHDL to accelerate and optimize implementation of algorithms for FPGA boards. ...

    $282 (Avg Bid)
    $282 Avg Bid
    6 bids

    There will be 12 LEDs. During a round, each LED will light a single color out of three. These colors will be red, blue and white. The player will have three buttons to press and he will have to indicate which was the priority color. If it hits, it moves on to the next round. If you miss, the game is over. To make the game more difficult, at each round, during an interval of 5 seconds, the LEDs must flash faster and faster. I need this prototype ready in the next 12 hours.

    $38 / hr (Avg Bid)
    $38 / hr Avg Bid
    9 bids

    Assalam o alaikum !!! We are looking for electrical engineers to join our team and work on our projects related to different domains of electrical engineering like: 1) Control System 2) Satellite communicat...different domains of electrical engineering like: 1) Control System 2) Satellite communication 3) Radio frequency and microwave circuit design 4) VLSI techniques 5) Radar theory and satellite communication 6) Intelligent and adaptive systems 7) Digital design 8) Asic design Freelancers must be proficient in following: 1) Matlab / Simulink 2) Proteus 3) Multisim 4) pspice 5) LTspice 6) VHDL/Verilog coding What I am expecting: 1. Dedication to the work 2. On time delivery of work without any delay 3. Well arranged and properly formatted reports with plagiarism count...

    $147 (Avg Bid)
    $147 Avg Bid
    10 bids

    I'll read pdfs and teach them to client

    $140 - $140
    $140 - $140
    0 bids

    Important Topics Digital systems and vhdl modelling Vhdl code reading and simulation reading The embedded boundary scan(BS) test logic testing via the BS infrastructure SN74BCT8244 finite state manchines with datapath(FSMD) A FSMD for an e-piano SN74 (send med block diagram) Napoleon cipher

    $36 (Avg Bid)
    $36 Avg Bid
    3 bids